-- GOP_XC3S200 20pin template; delete this line -------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: -- Design Name: -- Component Name: -- Target Device: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- -- Additional Comments: -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity ucf_xc3s200_20 is Port ( osc : in std_logic ; scs,soe,swr,fcs : inout std_logic ; sa: inout std_logic_vector (18 downto 0) ; sd: inout std_logic_vector (7 downto 0) ; tp2: inout std_logic ; tp3: inout std_logic ; tp4: inout std_logic ; tp5: inout std_logic ; tp6: inout std_logic ; tp7: inout std_logic ; tp8: inout std_logic ; pin1: inout std_logic ; pin2: inout std_logic ; pin3: inout std_logic ; pin4: inout std_logic ; pin5: inout std_logic ; pin6: inout std_logic ; pin7: inout std_logic ; pin8: inout std_logic ; pin9: inout std_logic ; pin10: inout std_logic ; pin11: inout std_logic ; pin13: inout std_logic ; pin14: inout std_logic ; pin15: inout std_logic ; pin16: inout std_logic ; pin17: inout std_logic ; pin18: inout std_logic ; pin19: inout std_logic ; pin20: inout std_logic ; pin21: inout std_logic ; pin22: inout std_logic ; pin23: inout std_logic ; sw1,sw2 : in std_logic) ; end ucf_xc3s200_20 ; architecture behavioral of ucf_xc3s200_20 is begin end behavioral ;